www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

FPGA in CPLD programabilna vezja
Moderator: Moderatorji

Forum pregleduje/jo uporabnik/i: Noben
Pojdi na stran 1, 2, 3  Naslednja
Objavi novo temo
 Teme   Odgovorov   Avtor   Ogledov   Zadnja objava 
Ni novih objav Xilinx XCVU9P FPGA Board
0 FPGAkey 707 Pet Avg 04, 2023 12:01 pm
FPGAkey Poglej zadnje objave
Ni novih objav Programirljiva logika
1 mosqito 1270 Pet Nov 18, 2022 9:25 pm
mujo Poglej zadnje objave
Ni novih objav Kopiranje PALCE16V8H-25PC/4
7 majstr 2392 Tor Jan 11, 2022 8:39 am
airwolf Poglej zadnje objave
Ni novih objav Fpga v službi
3 ajatola 12244 Sre Feb 28, 2018 12:26 pm
ajatola Poglej zadnje objave
Ni novih objav Osnovno vprašanje glede Spartan 3E
1 rplink3r 8019 Čet Nov 12, 2015 5:17 pm
rplink3r Poglej zadnje objave
Ni novih objav Xilinx vs. Altera
[ Pojdi na stranPojdi na stran: 1, 2 ]
15 smal 16208 Čet Nov 27, 2014 11:22 pm
hribo Poglej zadnje objave
Ni novih objav fpga - lpt port
8 trot 17246 Tor Jun 03, 2014 2:43 pm
trot Poglej zadnje objave
Ni novih objav Insight-ov Spartan-II Demo Board rev3.0 - ma kdo docs ?
0 Brane212 9130 Pet Maj 02, 2014 10:11 pm
Brane212 Poglej zadnje objave
Ni novih objav Altera (...)blaster in CigoPLD
[ Pojdi na stranPojdi na stran: 1, 2 ]
19 . 29205 Ned Apr 13, 2014 10:20 pm
. Poglej zadnje objave
Ni novih objav Xilinx ISE
[ Pojdi na stranPojdi na stran: 1, 2 ]
15 . 28113 Sre Jan 08, 2014 4:32 pm
hribo Poglej zadnje objave
Ni novih objav vhdl - wishbone
12 trot 18189 Pon Dec 23, 2013 8:18 pm
tilz0R Poglej zadnje objave
Ni novih objav Kako izbrati velikost CPLD-ja?
5 hribo 12216 Pon Jun 24, 2013 7:09 pm
GopherB Poglej zadnje objave
Ni novih objav Simulacija FSM stanj
1 wasu 9652 Tor Maj 28, 2013 6:10 pm
wasu Poglej zadnje objave
icon_roleplay Ni novih objav Načrtovanje digitalnih vezij v jeziku VHDL
5 program_er 11540 Tor Maj 07, 2013 9:29 pm
damonstr Poglej zadnje objave
Ni novih objav programiranje fpga
2 trot 9776 Tor Apr 23, 2013 5:54 pm
trot Poglej zadnje objave
Ni novih objav xilinx flashwriter
5 trot 10982 Tor Apr 02, 2013 9:42 pm
BorutS Poglej zadnje objave
Ni novih objav vhdl povezava zadnjega Carry bita na signal
0 powerPC 9028 Tor Mar 19, 2013 7:45 pm
powerPC Poglej zadnje objave
Ni novih objav vhdl-procesor
11 Drago_G 13125 Čet Mar 14, 2013 12:51 am
Drago_G Poglej zadnje objave
Ni novih objav xilinx fpga - evaluation board
1 trot 9429 Pon Mar 11, 2013 12:37 am
Dorijan Poglej zadnje objave
Ni novih objav vhdl - osnove
[ Pojdi na stranPojdi na stran: 1, 2 ]
28 trot 33646 Tor Feb 12, 2013 12:19 pm
patricio Poglej zadnje objave
Ni novih objav fpga - INOUT
1 trot 9695 Pet Feb 01, 2013 2:48 pm
chaos Poglej zadnje objave
Ni novih objav FPGA začetnik
[ Pojdi na stranPojdi na stran: 1 ... 3, 4, 5 ]
73 seba001 84265 Sob Jan 05, 2013 11:08 am
damonstr Poglej zadnje objave
Ni novih objav simulacija dela, na fpga-ju ne
1 trot 10163 Tor Nov 20, 2012 7:17 pm
trot Poglej zadnje objave
Ni novih objav LVDS_25
0 trot 9599 Tor Okt 23, 2012 5:16 pm
trot Poglej zadnje objave
Ni novih objav Nanoboard NB2
3 ticla 10896 Čet Jul 05, 2012 8:08 am
icek Poglej zadnje objave
Ni novih objav Digilent+ISE
2 . 10499 Tor Mar 27, 2012 9:53 pm
. Poglej zadnje objave
Ni novih objav FPGA in domače vezje
[ Pojdi na stranPojdi na stran: 1, 2 ]
29 hribo 36723 Ned Feb 12, 2012 10:09 pm
hribo Poglej zadnje objave
Ni novih objav vhdl pomoč
13 maxwell 14034 Ned Jan 08, 2012 11:47 pm
kornjace Poglej zadnje objave
Ni novih objav Xilinx ISE 11.1
9 alessio 16453 Pon Dec 19, 2011 5:33 pm
GopherB Poglej zadnje objave
Ni novih objav Kopiranje PALCE16V8H - NUJNO !
14 milan62 15022 Tor Okt 25, 2011 6:37 pm
milan62 Poglej zadnje objave
Ni novih objav fpga - prom file
9 trot 12814 Tor Sep 06, 2011 4:56 pm
aly Poglej zadnje objave
Ni novih objav Logika
1 VolkD 10115 Pon Avg 22, 2011 1:25 am
VolkD Poglej zadnje objave
Ni novih objav ISE 12.4 schematic -floorplan problemi
3 VolkD 10771 Pet Avg 05, 2011 12:47 am
VolkD Poglej zadnje objave
Ni novih objav iMPACT - programiranje proma + reboot fpga
5 trot 10992 Čet Jul 28, 2011 2:11 pm
aly Poglej zadnje objave
Ni novih objav Serijska komunikacija v VHDL
3 aly 11077 Sob Jun 04, 2011 4:17 pm
gregzy87 Poglej zadnje objave
Ni novih objav Adept - no map file found?
5 strobos 12780 Pon Maj 23, 2011 9:45 pm
strobos Poglej zadnje objave
Ni novih objav PLD
10 Silvo 13970 Čet Feb 10, 2011 6:41 pm
Silvo Poglej zadnje objave
Ni novih objav CPLD - verilog
2 A.B. 11807 Ned Feb 06, 2011 1:29 pm
Sadida Poglej zadnje objave
Ni novih objav Projekti z CoolRunner-II Starter Kit-om
[ Pojdi na stranPojdi na stran: 1, 2 ]
24 chaos 40194 Sob Jan 15, 2011 12:31 pm
Pinkiler21 Poglej zadnje objave
Ni novih objav VHDL / CPLD Xilinx delavnica
[ Pojdi na stranPojdi na stran: 1 ... 8, 9, 10 ]
135 silica 104552 Čet Jan 13, 2011 5:08 pm
n900 Poglej zadnje objave
Ni novih objav FPGA ali ARM ali iLCD za projekt ?
3 rdolgan 13180 Čet Apr 22, 2010 10:06 am
. Poglej zadnje objave
Ni novih objav Spartan 3A evaluation kit
[ Pojdi na stranPojdi na stran: 1, 2, 3 ]
42 mat^2 58967 Čet Mar 25, 2010 11:33 pm
. Poglej zadnje objave
Ni novih objav VHDL-I/O bus
4 dkone 11981 Ned Mar 14, 2010 7:50 pm
dkone Poglej zadnje objave
Ni novih objav Programiranje GALov
[ Pojdi na stranPojdi na stran: 1, 2 ]
16 jancooo 32441 Pet Jan 29, 2010 9:41 am
BluPhenix Poglej zadnje objave
Ni novih objav UART problem
5 nakamichi 12832 Pon Nov 02, 2009 7:24 pm
aly Poglej zadnje objave
Ni novih objav Enostaven modul s CR-2 (XILINX CPLD)
1 . 11639 Pet Okt 09, 2009 12:09 am
VolkD Poglej zadnje objave
Ni novih objav Napaka pri Translate
8 nakamichi 14215 Pon Sep 14, 2009 11:07 pm
nakamichi Poglej zadnje objave
Ni novih objav I2C @ XAPP333
0 dpavli 10638 Tor Avg 04, 2009 10:49 am
dpavli Poglej zadnje objave
Ni novih objav korenjev fpga
[ Pojdi na stranPojdi na stran: 1, 2, 3 ]
38 korenje_ver2 54311 Pon Jul 13, 2009 11:07 pm
tiger Poglej zadnje objave
Ni novih objav Xilinx Parrallel IV kabel ( in nasploh tovrstni kabli)...
9 Brane212 15264 Čet Jul 09, 2009 6:23 pm
Glitch Poglej zadnje objave
Prikaži prejšnje teme:  
Objavi novo temo    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Pojdi na stran 1, 2, 3  Naslednja
Stran 1 od 3
Pojdi na:  
Nove objave Nove objave    Ni novih objav Ni novih objav    Obvestilo Obvestilo
Nove objave [priljubljene] Nove objave [priljubljene]    Ni novih objav [priljubljenih] Ni novih objav [priljubljenih]    NE PREZRI! NE PREZRI!
Nove objave [zaklenjene] Nove objave [zaklenjene]    Ni novih objav [zaklenjenih] Ni novih objav [zaklenjenih]
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group