www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

FPGA in CPLD programabilna vezja
Moderator: Moderatorji

Forum pregleduje/jo uporabnik/i: Noben
Pojdi na stran 1, 2, 3  Naslednja
Objavi novo temo
 Teme   Odgovorov   Avtor   Ogledov   Zadnja objava 
Ni novih objav Xilinx XCVU9P FPGA Board
0 FPGAkey 733 Pet Avg 04, 2023 12:01 pm
FPGAkey Poglej zadnje objave
Ni novih objav Programirljiva logika
1 mosqito 1322 Pet Nov 18, 2022 9:25 pm
mujo Poglej zadnje objave
Ni novih objav Kopiranje PALCE16V8H-25PC/4
7 majstr 2520 Tor Jan 11, 2022 8:39 am
airwolf Poglej zadnje objave
Ni novih objav Fpga v službi
3 ajatola 12421 Sre Feb 28, 2018 12:26 pm
ajatola Poglej zadnje objave
Ni novih objav Osnovno vprašanje glede Spartan 3E
1 rplink3r 8086 Čet Nov 12, 2015 5:17 pm
rplink3r Poglej zadnje objave
Ni novih objav Xilinx vs. Altera
[ Pojdi na stranPojdi na stran: 1, 2 ]
15 smal 16292 Čet Nov 27, 2014 11:22 pm
hribo Poglej zadnje objave
Ni novih objav fpga - lpt port
8 trot 17288 Tor Jun 03, 2014 2:43 pm
trot Poglej zadnje objave
Ni novih objav Insight-ov Spartan-II Demo Board rev3.0 - ma kdo docs ?
0 Brane212 9165 Pet Maj 02, 2014 10:11 pm
Brane212 Poglej zadnje objave
Ni novih objav Altera (...)blaster in CigoPLD
[ Pojdi na stranPojdi na stran: 1, 2 ]
19 . 29280 Ned Apr 13, 2014 10:20 pm
. Poglej zadnje objave
Ni novih objav Xilinx ISE
[ Pojdi na stranPojdi na stran: 1, 2 ]
15 . 28215 Sre Jan 08, 2014 4:32 pm
hribo Poglej zadnje objave
Ni novih objav vhdl - wishbone
12 trot 18223 Pon Dec 23, 2013 8:18 pm
tilz0R Poglej zadnje objave
Ni novih objav Kako izbrati velikost CPLD-ja?
5 hribo 12249 Pon Jun 24, 2013 7:09 pm
GopherB Poglej zadnje objave
Ni novih objav Simulacija FSM stanj
1 wasu 9681 Tor Maj 28, 2013 6:10 pm
wasu Poglej zadnje objave
icon_roleplay Ni novih objav Načrtovanje digitalnih vezij v jeziku VHDL
5 program_er 11574 Tor Maj 07, 2013 9:29 pm
damonstr Poglej zadnje objave
Ni novih objav programiranje fpga
2 trot 9812 Tor Apr 23, 2013 5:54 pm
trot Poglej zadnje objave
Ni novih objav xilinx flashwriter
5 trot 11015 Tor Apr 02, 2013 9:42 pm
BorutS Poglej zadnje objave
Ni novih objav vhdl povezava zadnjega Carry bita na signal
0 powerPC 9061 Tor Mar 19, 2013 7:45 pm
powerPC Poglej zadnje objave
Ni novih objav vhdl-procesor
11 Drago_G 13171 Čet Mar 14, 2013 12:51 am
Drago_G Poglej zadnje objave
Ni novih objav xilinx fpga - evaluation board
1 trot 9461 Pon Mar 11, 2013 12:37 am
Dorijan Poglej zadnje objave
Ni novih objav vhdl - osnove
[ Pojdi na stranPojdi na stran: 1, 2 ]
28 trot 33701 Tor Feb 12, 2013 12:19 pm
patricio Poglej zadnje objave
Ni novih objav fpga - INOUT
1 trot 9717 Pet Feb 01, 2013 2:48 pm
chaos Poglej zadnje objave
Ni novih objav FPGA začetnik
[ Pojdi na stranPojdi na stran: 1 ... 3, 4, 5 ]
73 seba001 84375 Sob Jan 05, 2013 11:08 am
damonstr Poglej zadnje objave
Ni novih objav simulacija dela, na fpga-ju ne
1 trot 10187 Tor Nov 20, 2012 7:17 pm
trot Poglej zadnje objave
Ni novih objav LVDS_25
0 trot 9629 Tor Okt 23, 2012 5:16 pm
trot Poglej zadnje objave
Ni novih objav Nanoboard NB2
3 ticla 10926 Čet Jul 05, 2012 8:08 am
icek Poglej zadnje objave
Ni novih objav Digilent+ISE
2 . 10525 Tor Mar 27, 2012 9:53 pm
. Poglej zadnje objave
Ni novih objav FPGA in domače vezje
[ Pojdi na stranPojdi na stran: 1, 2 ]
29 hribo 36787 Ned Feb 12, 2012 10:09 pm
hribo Poglej zadnje objave
Ni novih objav vhdl pomoč
13 maxwell 14067 Ned Jan 08, 2012 11:47 pm
kornjace Poglej zadnje objave
Ni novih objav Xilinx ISE 11.1
9 alessio 16480 Pon Dec 19, 2011 5:33 pm
GopherB Poglej zadnje objave
Ni novih objav Kopiranje PALCE16V8H - NUJNO !
14 milan62 15064 Tor Okt 25, 2011 6:37 pm
milan62 Poglej zadnje objave
Ni novih objav fpga - prom file
9 trot 12852 Tor Sep 06, 2011 4:56 pm
aly Poglej zadnje objave
Ni novih objav Logika
1 VolkD 10148 Pon Avg 22, 2011 1:25 am
VolkD Poglej zadnje objave
Ni novih objav ISE 12.4 schematic -floorplan problemi
3 VolkD 10806 Pet Avg 05, 2011 12:47 am
VolkD Poglej zadnje objave
Ni novih objav iMPACT - programiranje proma + reboot fpga
5 trot 11018 Čet Jul 28, 2011 2:11 pm
aly Poglej zadnje objave
Ni novih objav Serijska komunikacija v VHDL
3 aly 11114 Sob Jun 04, 2011 4:17 pm
gregzy87 Poglej zadnje objave
Ni novih objav Adept - no map file found?
5 strobos 12823 Pon Maj 23, 2011 9:45 pm
strobos Poglej zadnje objave
Ni novih objav PLD
10 Silvo 13999 Čet Feb 10, 2011 6:41 pm
Silvo Poglej zadnje objave
Ni novih objav CPLD - verilog
2 A.B. 11844 Ned Feb 06, 2011 1:29 pm
Sadida Poglej zadnje objave
Ni novih objav Projekti z CoolRunner-II Starter Kit-om
[ Pojdi na stranPojdi na stran: 1, 2 ]
24 chaos 40244 Sob Jan 15, 2011 12:31 pm
Pinkiler21 Poglej zadnje objave
Ni novih objav VHDL / CPLD Xilinx delavnica
[ Pojdi na stranPojdi na stran: 1 ... 8, 9, 10 ]
135 silica 104701 Čet Jan 13, 2011 5:08 pm
n900 Poglej zadnje objave
Ni novih objav FPGA ali ARM ali iLCD za projekt ?
3 rdolgan 13212 Čet Apr 22, 2010 10:06 am
. Poglej zadnje objave
Ni novih objav Spartan 3A evaluation kit
[ Pojdi na stranPojdi na stran: 1, 2, 3 ]
42 mat^2 59065 Čet Mar 25, 2010 11:33 pm
. Poglej zadnje objave
Ni novih objav VHDL-I/O bus
4 dkone 12019 Ned Mar 14, 2010 7:50 pm
dkone Poglej zadnje objave
Ni novih objav Programiranje GALov
[ Pojdi na stranPojdi na stran: 1, 2 ]
16 jancooo 32519 Pet Jan 29, 2010 9:41 am
BluPhenix Poglej zadnje objave
Ni novih objav UART problem
5 nakamichi 12853 Pon Nov 02, 2009 7:24 pm
aly Poglej zadnje objave
Ni novih objav Enostaven modul s CR-2 (XILINX CPLD)
1 . 11669 Pet Okt 09, 2009 12:09 am
VolkD Poglej zadnje objave
Ni novih objav Napaka pri Translate
8 nakamichi 14246 Pon Sep 14, 2009 11:07 pm
nakamichi Poglej zadnje objave
Ni novih objav I2C @ XAPP333
0 dpavli 10665 Tor Avg 04, 2009 10:49 am
dpavli Poglej zadnje objave
Ni novih objav korenjev fpga
[ Pojdi na stranPojdi na stran: 1, 2, 3 ]
38 korenje_ver2 54394 Pon Jul 13, 2009 11:07 pm
tiger Poglej zadnje objave
Ni novih objav Xilinx Parrallel IV kabel ( in nasploh tovrstni kabli)...
9 Brane212 15293 Čet Jul 09, 2009 6:23 pm
Glitch Poglej zadnje objave
Prikaži prejšnje teme:  
Objavi novo temo    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Pojdi na stran 1, 2, 3  Naslednja
Stran 1 od 3
Pojdi na:  
Nove objave Nove objave    Ni novih objav Ni novih objav    Obvestilo Obvestilo
Nove objave [priljubljene] Nove objave [priljubljene]    Ni novih objav [priljubljenih] Ni novih objav [priljubljenih]    NE PREZRI! NE PREZRI!
Nove objave [zaklenjene] Nove objave [zaklenjene]    Ni novih objav [zaklenjenih] Ni novih objav [zaklenjenih]
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 75 dni


Powered by phpBB © 2001, 2005 phpBB Group