www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

Kako izbrati velikost CPLD-ja?

 
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja
Poglej prejšnjo temo :: Poglej naslednjo temo  
Avtor Sporočilo
hribo
Član
Član



Pridružen-a: Sob 12 Nov 2011 13:38
Prispevkov: 150
Aktiv.: 1.00
Kraj: Domžale

PrispevekObjavljeno: Sob Jun 08, 2013 12:18 pm    Naslov sporočila:  Kako izbrati velikost CPLD-ja? Odgovori s citatom

Pozdravljeni,

do jeseni bi rad izdelal vezje s pomočjo CPLD-ja. Razmišljam da bi izbral Alterinega max V.
Težava ki jo imam je, da ne znam oceniti koliko logičnih celic bo dovolj za moj projekt. Kako na palec določiti koliko logičnih celic bo za moj projekt dovolj?
Imam razvojno ploščico z FPGA-jem (cyclone 2) ali je edini način da določim kakšen CPLD bo zame zadosten, tako da najprej spišem kodo, potem skompilam in nato vidim ali bo zadeva šla v izbrani CPLD?

V svojem projektu bom imel dva detektorja, 4 gumbe(start, stop, pavza, briši), števec časa in LCD zaslon. Vse logiko za delovanje bi rad spravil v CPLD.

Trenutno me mika da bi uporabil tegale , ampak ne vem če ima dovolj logičnih celic za to.

In še ene zadeva me zanima. Pri FPGA-ju moraš logiko shranit na Flash in potem se FPGA ob zagonu naloži (boota) iz slike, ki jo imaš v Flash-u. Pri CPLD-ju pa ni potrebe po dodatnem Flashu oz. EEPROM-u, ki bi imel shranjeno logiko saj ima CPLD "spomin". Ali se motim?

_________________
Failing to plan is planning to fail.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Jan
Član
Član



Pridružen-a: Sob 10 Jun 2006 14:19
Prispevkov: 313
Aktiv.: 1.44
Kraj: Dolenjska

PrispevekObjavljeno: Sob Jun 08, 2013 1:03 pm    Naslov sporočila:   Odgovori s citatom

Obstajajo tudi FPGA-ji z že vgrajenim flash-em.

Jan
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
hribo
Član
Član



Pridružen-a: Sob 12 Nov 2011 13:38
Prispevkov: 150
Aktiv.: 1.00
Kraj: Domžale

PrispevekObjavljeno: Ned Jun 09, 2013 12:00 pm    Naslov sporočila:   Odgovori s citatom

A bi lahko povedal katere družine FPGA-jev so to? Vem da ima Altera neko mešanico med CPLD-jem in FPGA-jem z Max V. Ampak več od tega nisem zasledil.
_________________
Failing to plan is planning to fail.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Jan
Član
Član



Pridružen-a: Sob 10 Jun 2006 14:19
Prispevkov: 313
Aktiv.: 1.44
Kraj: Dolenjska

PrispevekObjavljeno: Ned Jun 09, 2013 12:31 pm    Naslov sporočila:   Odgovori s citatom

Npr. XC3S50AN od Xilinx-a.

Jan
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
hribo
Član
Član



Pridružen-a: Sob 12 Nov 2011 13:38
Prispevkov: 150
Aktiv.: 1.00
Kraj: Domžale

PrispevekObjavljeno: Ned Jun 23, 2013 3:23 pm    Naslov sporočila:   Odgovori s citatom

Jan je napisal/a:
Npr. XC3S50AN od Xilinx-a.


Hvala za tole informacijo, nekako sem živel v prepričanju da imajo vgrajene flash-e samo CPLD-ji.

_________________
Failing to plan is planning to fail.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
GopherB
Član
Član



Pridružen-a: Čet 10 Mar 2011 11:44
Prispevkov: 90
Aktiv.: 0.57
Kraj: Lj

PrispevekObjavljeno: Pon Jun 24, 2013 7:09 pm    Naslov sporočila:   Odgovori s citatom

Za Altero ne morem trditi, Xilinx ti pa ob vsakem kompajlu tudi javi "resources used".

Če se motim, naj me kdo prosim popravi, ampak nekje v spominu imam, da naj bi se uporabil CPLD za 1/3 večji od pričakovane kode.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Pokaži sporočila:   
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Stran 1 od 1

 
Pojdi na:  
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group