www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

Težave pri programiranju z MPLAB X IDE

 
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> Microchip PIC
Poglej prejšnjo temo :: Poglej naslednjo temo  
Avtor Sporočilo
Kopernik
Član
Član



Pridružen-a: Sob 24 Okt 2015 22:03
Prispevkov: 99
Aktiv.: 0.96
Kraj: Črnomelj

PrispevekObjavljeno: Sob Okt 01, 2016 1:59 pm    Naslov sporočila:  Težave pri programiranju z MPLAB X IDE Odgovori s citatom

Pozdravljeni!
Učim se programiranja, vendar sem naletel na težave..
Zanimalo me je, če lahko program napišem (prekopiram z interneta Very Happy ),
pretvorim v .hex in zapišem z minipro tl866 na 16f877a.
vendar se je zataknilo in napisalo error. potem sem testiral še v mikroC in podobno..
sedaj nevem ali je problem v MPLABu ali v prekopiranem programu..?


#include <stdio.h>
#include <stdlib.h>
#include <htc.h>
#include <pic.h>

#define RS RB2
#define EN RB3
#define D4 RB4
#define D5 RB5
#define D6 RB6
#define D7 RB7
#define _XTAL_FREQ 19456000
#include "lcd.h"

void main()
{
int i;
TRISB = 0x00;
Lcd4_Init();
while(1)
{
Lcd4_Set_Cursor(1,1);
Lcd4_Write_String("test");
for(i=0;i<15;i++)
{
__delay_ms(1000);
Lcd4_Shift_Left();
}
for(i=0;i<15;i++)
{
__delay_ms(1000);
Lcd4_Shift_Right();
}
Lcd4_Clear();
Lcd4_Set_Cursor(2,1);
Lcd4_Write_Char('e');
Lcd4_Write_Char('S');
__delay_ms(2000);
}
}

make -f nbproject/Makefile-default.mk SUBPROJECTS= .build-conf
make[1]: Entering directory 'C:/Users/Dejan/MPLABXProjects/dd.X'
make -f nbproject/Makefile-default.mk dist/default/production/dd.X.production.hex
make[2]: Entering directory 'C:/Users/Dejan/MPLABXProjects/dd.X'
make[2]: *** No rule to make target 'build/default/production/newmain.o', needed by 'dist/default/production/dd.X.production.hex'. Stop.
make[2]: Leaving directory 'C:/Users/Dejan/MPLABXProjects/dd.X'
make[1]: *** [.build-conf] Error 2
make: *** [.build-impl] Error 2
nbproject/Makefile-default.mk:84: recipe for target '.build-conf' failed
make[1]: Leaving directory 'C:/Users/Dejan/MPLABXProjects/dd.X'
nbproject/Makefile-impl.mk:39: recipe for target '.build-impl' failed

BUILD FAILED (exit value 2, total time: 203ms)
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Highlag
Član
Član



Pridružen-a: Pet 23 Jan 2004 20:42
Prispevkov: 4034
Aktiv.: 18.17
Kraj: Črnuče

PrispevekObjavljeno: Ned Okt 02, 2016 9:02 am    Naslov sporočila:   Odgovori s citatom

Glede na pripeto kodo je to koda od nekega kvazi C jezika. Vsebuje funkcije, ki jih moraš v C-ju šele spisati. (__delay())..

Mogoče res od MicroC ali pa česa drugega. S kje si pa snel kodo?

_________________
If at first you don't succeed, destroy all evidence that you tried.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran
kranjc
Član
Član



Pridružen-a: Pon 29 Mar 2004 20:13
Prispevkov: 223
Aktiv.: 1.00
Kraj: Cerknica

PrispevekObjavljeno: Pon Okt 03, 2016 2:08 pm    Naslov sporočila:   Odgovori s citatom

Zdravo
poglej če imaš #define _XTAL_FREQ 19456000 tudi v knjižnjici lcd.h. Enkrat je bil pri meni to že problem...
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo MSN Messenger - naslov
Kopernik
Član
Član



Pridružen-a: Sob 24 Okt 2015 22:03
Prispevkov: 99
Aktiv.: 0.96
Kraj: Črnomelj

PrispevekObjavljeno: Pon Okt 03, 2016 4:03 pm    Naslov sporočila:   Odgovori s citatom

kodo sem našel nekje na netu in zraven je bila še shema vezave..
za kristal sem pa že v začetku nastavil, da gre za 19,456Mhz ker 8Mhz nimam doma..
sej nevem če je mogoče to narobe... res nimam pojma o programiranju.. vendar bi rad enkrat nekaj "prekopiral", da dobim voljo
Cool
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Highlag
Član
Član



Pridružen-a: Pet 23 Jan 2004 20:42
Prispevkov: 4034
Aktiv.: 18.17
Kraj: Črnuče

PrispevekObjavljeno: Pon Okt 03, 2016 4:37 pm    Naslov sporočila:   Odgovori s citatom

Narobe delaš.

Napiši svoj blinky program. To je za začetek. Bo precej lažje naprej.

_________________
If at first you don't succeed, destroy all evidence that you tried.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran
Kopernik
Član
Član



Pridružen-a: Sob 24 Okt 2015 22:03
Prispevkov: 99
Aktiv.: 0.96
Kraj: Črnomelj

PrispevekObjavljeno: Pon Okt 03, 2016 5:06 pm    Naslov sporočila:   Odgovori s citatom

Kaj pa je blinky program?
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
MarkoM
Član
Član



Pridružen-a: Tor 12 Sep 2006 15:29
Prispevkov: 2825
Aktiv.: 13.23
Kraj: Lovrenc na P.

PrispevekObjavljeno: Pon Okt 03, 2016 5:37 pm    Naslov sporočila:   Odgovori s citatom

Utripanje ledic. Izhod iz mikrokontrolerja postavljaš na 1 in nič v ritmu, ki si ga izmisliš.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
igor_k
Član
Član



Pridružen-a: Pon 19 Jul 2004 11:44
Prispevkov: 992
Aktiv.: 4.47
Kraj: Rače

PrispevekObjavljeno: Pon Okt 03, 2016 9:21 pm    Naslov sporočila:   Odgovori s citatom

Kateri prevajalnik imaš izbran v konfiguraciji? Ga sploh imaš inštaliranega? Glede na datoteke je to pisano za Hi-tech prevajalnik.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Pokaži sporočila:   
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> Microchip PIC Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Stran 1 od 1

 
Pojdi na:  
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group