www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

4 v 8 bitno vodilo
Pojdi na stran 1, 2  Naslednja
 
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja
Poglej prejšnjo temo :: Poglej naslednjo temo  
Avtor Sporočilo
strobos
Član
Član



Pridružen-a: Sre 24 Sep 2003 12:19
Prispevkov: 726
Aktiv.: 3.27
Kraj: Brežice

PrispevekObjavljeno: Pet Mar 13, 2009 7:04 am    Naslov sporočila:  4 v 8 bitno vodilo Odgovori s citatom

Pozdrav,

zanima me, če bi znal kdo narediti logiko, ki bi iz vhodnega 4 bitnega vodila naredilo izhodno 8 bitno vodilo. Torej, na vhodu pridejo 4 biti paralelno in signal, ki pove, da so biti pripravljeni za branje. Ko logika dobi ta signal, prestavi te bite na izhodno 8 bitno vodilo v spodnje 4 bite. Ob naslednjem vhodnem signalu, pa logika da naslednje 4 bite na izhodno vodilo na zgornje 4 bite in hkrati da signal na izhodu, da je izhodnih 8 bitov pripravljenih za branje. Vhodni signal ima frekvenco 24MHz. Se da to kako enostavneje rešiti, kot s programirljivo logiko?

Lp
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
.
Član
Član



Pridružen-a: Pon 23 Avg 2004 16:16
Prispevkov: 16777190
Aktiv.: 75555.38

PrispevekObjavljeno: Pet Mar 13, 2009 8:44 am    Naslov sporočila:   Odgovori s citatom

Brisana vsebina odstranjenega uporabnika.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Sokrat
Član
Član



Pridružen-a: Čet 25 Avg 2005 11:00
Prispevkov: 5584
Aktiv.: 25.15

PrispevekObjavljeno: Pet Mar 13, 2009 11:21 am    Naslov sporočila:   Odgovori s citatom

Jaz to razumem kot enosmerno (zadnji stavek). Dovolj za to je en 8-bitni D flip-flop, ce je stanje do zahtevanega signala nepomembno (npr 74*574), sicer pa dva 4-bitna, kot je predlagal Mare, zraven pa en 1-bitni "stevec", ki ga tudi lahko predstavlja en D flip-flop (npr. 74*74).

Malce se zaplete, ce je hitrost napave na 8-bitni strani zelo visoka (v tem primeru bi bil verjetno potreben nekoliko drugacen pristop).

_________________
Ka ti bo pa torba ce si kupu kolo ?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
strobos
Član
Član



Pridružen-a: Sre 24 Sep 2003 12:19
Prispevkov: 726
Aktiv.: 3.27
Kraj: Brežice

PrispevekObjavljeno: Sob Mar 14, 2009 7:14 am    Naslov sporočila:   Odgovori s citatom

Ja, to je enosmerna zadeva. Na vhodu pride 4 bitno vodilo in pa, prej sem pozabil napisat, dva signala. Eden je enable, drugi pa da signal, da se naj ti vhodni podatki prestavijo na izhod. Vsaki drugi 4 bitni vhodni podatek se mora šiftat za 4 mesta v levo in sprožit output enable. Torej izhodno vodilo je 8 bitno, frekvenca pa posledično pol manjša od vhodne, torej 12MHz. Ko je vseh 8 bitov na izhodu pripravljenih, se aktivira signal output enable (mora iti iz 0 na 1).

Lp
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
Sokrat
Član
Član



Pridružen-a: Čet 25 Avg 2005 11:00
Prispevkov: 5584
Aktiv.: 25.15

PrispevekObjavljeno: Sob Mar 14, 2009 11:45 am    Naslov sporočila:   Odgovori s citatom

Ni mi jasno cemu sluzita tista dva signala - podatke menda poganja clock, sicer je nepotreben. Ce sta to "mask" signala, potem jih z logicnimi vrati ustrezno zdruzis s clock signalom. To je za tvoj problem irelevantno.

Kot smo ti ze napisali, je vec moznih izvedb. Vsekakor rabis najprej en delilnik ure (stevec, D dlip-flop).

Ena moznost je potem, da uporabis dva 4-bitna latcha oz. D flip-flopa, s tem da enega poganja invertirani, drugega pa neinvertirani izhod delilnika ure (zaradi tega je prakticno uproabiti flip-flop za delilnik, ker brez dodatnih komponent dobis tudi invertiran izhod).

Druga moznost - in to bi izbral jaz zaradi predvidoma lepsega layouta vezja (oktalni registri obstajajo v verziji s paralelno postavljenimi vhodi in izhodi, namesto z "otocki", zasede pa morda malenkost evc prostora) - je uporaba 8-bitnega latcha oz. D flip-flopa in demultiplekserja pred njim. Demultiplekser je lahko 4-bitni latch oz. D flip-flop, se vedno je potreben delilnik ure, spet velja isto kot zgoraj, signal ene polaritete poganja demultiplekser, signal druge pa izhodni element.

Je pa res, da je vse skupaj s pomocjo enega SPLDja mogoce spraviti na 1/3 prostora, s tem da ima za povrh se poljuben razpored pinov (ce denimo bolj ustreza kak premesan razpored zaradi obstojecega dizajna preostanka vezja).

_________________
Ka ti bo pa torba ce si kupu kolo ?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
strobos
Član
Član



Pridružen-a: Sre 24 Sep 2003 12:19
Prispevkov: 726
Aktiv.: 3.27
Kraj: Brežice

PrispevekObjavljeno: Ned Mar 15, 2009 2:17 am    Naslov sporočila:   Odgovori s citatom

Tista dva vhodna krmilna signala sta nujna. Enable signal ima to funkcijo, da če ga dam na 0(disable), stvar ignorira signal za vpis. Prostora na vezju imam bolj malo, časa pa tudi. Tole, ko si omenil, da bi lahko s SPLD-jem naredil poljuben razpored pinov, bi bilo pa še boljše, ampak s programabilno logiko še nisem delal. Da bi se jo v tako kratkem času naučil pa tudi ne bo šlo...

Lp
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
Sokrat
Član
Član



Pridružen-a: Čet 25 Avg 2005 11:00
Prispevkov: 5584
Aktiv.: 25.15

PrispevekObjavljeno: Ned Mar 15, 2009 12:59 pm    Naslov sporočila:   Odgovori s citatom

Kot sem ze prejsnjic napisal: logicna vrata.

Smola glede casa.

Se en dodaten pomislek: vse skupaj mora imeti moznost reseta in naprava na 4-bitni strani mora to nadzorovati, sicer lahko pride do tega, da bo (vsaj) prvi podatek na izhodu neveljaven (ob dovolj slabi izvedbi bi bila pa verhjetnost 50%, da bodo vsi podatki neveljavni).

_________________
Ka ti bo pa torba ce si kupu kolo ?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
BorutS
Član
Član



Pridružen-a: Pet 09 Jun 2006 16:25
Prispevkov: 107
Aktiv.: 0.49
Kraj: Cerklje na Gorenjskem

PrispevekObjavljeno: Čet Mar 19, 2009 12:47 am    Naslov sporočila:   Odgovori s citatom

To lahko narediš z enim PAL16V8, je dovol hiter in potrebuješ samo 1 čip..
LP
Borut
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
strobos
Član
Član



Pridružen-a: Sre 24 Sep 2003 12:19
Prispevkov: 726
Aktiv.: 3.27
Kraj: Brežice

PrispevekObjavljeno: Sre Mar 25, 2009 1:44 pm    Naslov sporočila:   Odgovori s citatom

Z Borutom sva ugotovila, da bom verjetno potreboval 22V10. Zdaj pa me zanima, v čem (compiler) te zadeve najenostavneje in najhitreje sprogramirat in zapečit program v logiko?

Lp
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
Sokrat
Član
Član



Pridružen-a: Čet 25 Avg 2005 11:00
Prispevkov: 5584
Aktiv.: 25.15

PrispevekObjavljeno: Sre Mar 25, 2009 1:48 pm    Naslov sporočila:   Odgovori s citatom

To sta pravilno ugotovila, z osmimi makrocelicami to nikakor ne bi slo.

WinCUPL.

Programator (obstaja kup razlicnih, jaz imam enega univerzalnega, ki med ostalim podpira tudi tovrstne SPLDje).

_________________
Ka ti bo pa torba ce si kupu kolo ?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
BorutS
Član
Član



Pridružen-a: Pet 09 Jun 2006 16:25
Prispevkov: 107
Aktiv.: 0.49
Kraj: Cerklje na Gorenjskem

PrispevekObjavljeno: Sre Mar 25, 2009 3:57 pm    Naslov sporočila:   Odgovori s citatom

strobos kot sem ti že v zs sporočilu povedal je zanesljivost delovanja takega vezja nekoliko vprašljiva, ker bo postavitev nibblov na izhodu (HI/LO) po resetu sicer postavljen z zunanjim signalom, potem pa bo odvisen samo od notranjega stanja (flip flopa in clocka).... mogoče boš moral občasno resetirat vezje pa bo Wink

..... ja tudi jaz delam z WinCUPL, ki ga lahko dobiš http://www.atmel.com/dyn/products/tools_card.asp?tool_id=2759.

Zraven programa dobiš tudi nekaj primerov, ki ti bodo v pomoč za začetek...

LP
Borut
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Sokrat
Član
Član



Pridružen-a: Čet 25 Avg 2005 11:00
Prispevkov: 5584
Aktiv.: 25.15

PrispevekObjavljeno: Sre Mar 25, 2009 4:44 pm    Naslov sporočila:   Odgovori s citatom

BorutS je napisal/a:
strobos kot sem ti že v zs sporočilu povedal je zanesljivost delovanja takega vezja nekoliko vprašljiva, ker bo postavitev nibblov na izhodu (HI/LO) po resetu sicer postavljen z zunanjim signalom, potem pa bo odvisen samo od notranjega stanja (flip flopa in clocka).... mogoče boš moral občasno resetirat vezje pa bo Wink


Saj to velja za vse sinhrone sisteme - ko se sinhronizacija podre, nehajo delati. Nima se kaj podreti; racunalniki so polni podobnih povezav in delujejo (hipoteticno) v nedogled, v praksi pa po mesece ali leta brez tovrstnih napak.

Citiram:
Zraven programa dobiš tudi nekaj primerov, ki ti bodo v pomoč za začetek...


Nima casa za ucenje, je ze napisal Rolling Eyes

_________________
Ka ti bo pa torba ce si kupu kolo ?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
BorutS
Član
Član



Pridružen-a: Pet 09 Jun 2006 16:25
Prispevkov: 107
Aktiv.: 0.49
Kraj: Cerklje na Gorenjskem

PrispevekObjavljeno: Sre Mar 25, 2009 9:55 pm    Naslov sporočila:   Odgovori s citatom

Vsi zanesljivi sistemi sistemi so narejeni tako, da se čimbolj pogosto sinhronizirajo, ker signali ki jih pripelješ v čip nikoli niso idealni. So polni motenj, prehodi med logičnimi stanj niso idealni. Primer sinhronizacija SPI busa s CS signalom....
V strobos-ovem primeru je teževa v tem (sicer ne vem kje se bo to uporabljalo), da če se sinhronizacija podre (na primer zaradi motnje na clock vhodu v vezje), bo potreben reset ali nova motnja, da bo vezje začelo pravilno delovati. Na primer da se motnja pojavi enkrat na dan (vsako jutro ob vklopu neonskih luči...), bo potreben en dan da bo vezje začelo ponovno delovati (do motnje lahko pride tudi ob nevihtah...).

Vsak signa, ki pripelješ v fpga moraš peljati čez vsaj dva LACH-a (D-flip flopa), da lahko rečeš da imaš v fpga-ju potem čist signal, ki ga lahko pelješ na večnivojsko logiko (To so navodila xilinx-a verjetno podobno velja za druge firme).

Do motenj prihaja tudi pri mikrokontrolerjih. Primer: Dober programer bo pri mikrokontrolerju (PIC,AVR,ARM... karkoli) paralelne porte (gpio, PIA... kakorkoli jim že rečeš) občasno ponovno inicializiral (nastavil smer....) in ne samo ob resetu, ker se lahko zgodi, da se zaradi motnje spremeni smer porta. Uporabil bo tudi WatchDog Timer, ki ga bo setiral v kritičnih delih programa...


LP
Borut
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
strobos
Član
Član



Pridružen-a: Sre 24 Sep 2003 12:19
Prispevkov: 726
Aktiv.: 3.27
Kraj: Brežice

PrispevekObjavljeno: Sre Apr 08, 2009 4:16 am    Naslov sporočila:   Odgovori s citatom

Stvar sem spremenil in malo poenostavil, tako, da rabim sedaj pretvornik iz 1 bitnega v 8 bitno vodilo, torej serijsko vodilo v 8 bitno paralelno. Kar nekaj shift registrov sem našel med 74HCxx serijo, vendar imam jaz napajanje 3.3V in frekvenco 24MHz, tako, da jih kar nekaj odpade. Primeren bi bil recimo 74HC164 , vendar nima izhoda (output enable), ima pa input enable in reset. Potem bi verjetno rabil še en clock divider, ampak bi raje videl, da je vse v enem čipu. Našel sem tudi MC10EP445 , ki pa ima vse potrebno, vendar je cena v Farnellu 33€ Shocked . Ali obstaja kakšen shift register podoben 74HC164 z output enable signalom?

Lp
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
bferlic
Član
Član



Pridružen-a: Tor 09 Dec 2003 17:28
Prispevkov: 99
Aktiv.: 0.45
Kraj: Brezula, Rače

PrispevekObjavljeno: Sre Apr 08, 2009 2:39 pm    Naslov sporočila:   Odgovori s citatom

Ne vem točno kaj rabiš, ampak poglej mogoče 74HC595.

LP Boštjan
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo MSN Messenger - naslov
Pokaži sporočila:   
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Pojdi na stran 1, 2  Naslednja
Stran 1 od 2

 
Pojdi na:  
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group