www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

xilinx ise - simulacija

 
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja
Poglej prejšnjo temo :: Poglej naslednjo temo  
Avtor Sporočilo
trot
Član
Član



Pridružen-a: Čet 18 Jan 2007 20:25
Prispevkov: 1270
Aktiv.: 6.07
Kraj: glej fogl

PrispevekObjavljeno: Sre Apr 29, 2009 8:44 pm    Naslov sporočila:  xilinx ise - simulacija Odgovori s citatom

Rad bi naredil eno simulacijo enostavnega vezja, pri katerem bi rad videl da simulacija prikaže tudi hazard, ki nastane zaradi zakasnitve na not vratih. Zadeva ne funkcionira kot sem si zamislil. Ni mi jasno, zakaj simulacija omogoča da krmilim izhodni signal? Če zadevo poženem mi javi napako, kaj bi to pomenilo, pa mi ni jasno. Kaj delam narobe?
_________________
lp, Klemen
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
alessio
Član
Član



Pridružen-a: Pon 04 Dec 2006 8:39
Prispevkov: 363
Aktiv.: 1.72
Kraj: Ljubljana

PrispevekObjavljeno: Sre Apr 29, 2009 11:13 pm    Naslov sporočila:   Odgovori s citatom

Izhodov ne krmiliš ampak postavljaš predvidene vrednosti izhodnih vektorjev v določenem času pri določenem vhodnem vektorju. To ti je lahko tudi v namig za odpravljanje napake, ki to jo vrne simulacija.

~ Aleš
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
aly
Član
Član



Pridružen-a: Tor 28 Sep 2004 14:51
Prispevkov: 9406
Aktiv.: 42.36
Kraj: Kranj - struževo

PrispevekObjavljeno: Sre Apr 29, 2009 11:18 pm    Naslov sporočila:   Odgovori s citatom

Zakasnitev na vratih boš zelo verjetno videl, ko zadevo skompajlaš do Place & Route in od tam vzameš Post P&R Simulation Model.

Verjetno ti takšno funkcijo zoptimizira v eno samo LUT, kjer takšne zakasnitve niso več možne.

Od kje ti ideja, da projekte delaš v "My Documents" Question
Kot je bilo že 1000000+1 -krat povedano, Xilinxov softver ne prebavlja presledkov v imenih.



3.jpg
 Opis:
 Velikost datoteke:  92.11 KB
 Pogledana:  19 krat

3.jpg



_________________
I'm going to stand outside, so if anyone asks, I'm outstanding Smile
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran MSN Messenger - naslov
Sokrat
Član
Član



Pridružen-a: Čet 25 Avg 2005 11:00
Prispevkov: 5584
Aktiv.: 25.15

PrispevekObjavljeno: Sre Apr 29, 2009 11:23 pm    Naslov sporočila:   Odgovori s citatom

aly je napisal/a:
Od kje ti pamet, da projekte delaš v "My Documents" Question
Kot je bilo že 1000000+1 -krat povedano, Xilinxov softver ne prebavlja presledkov v imenih.


Pa ne samo njihov Sad Navadite se, da projekti od cesarkoli pristanejo v direktoriju, ki ni predalec od "korenskega imenika" (o madona ... ja, to je root directory) in ki nimajo v "poti" (path) nobenih cudnih znakov. Predalec je nad 255/256 znakov za celotno pot, cudni znaki so pa (med ostalim) tudi presledki, pa se marsikaj drugega (jugo kvake, krace z umlauti, cirilica, itd.).

_________________
Ka ti bo pa torba ce si kupu kolo ?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
Pokaži sporočila:   
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Stran 1 od 1

 
Pojdi na:  
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group