www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

ISE 12.4 schematic -floorplan problemi

 
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja
Poglej prejšnjo temo :: Poglej naslednjo temo  
Avtor Sporočilo
VolkD
Član
Član



Pridružen-a: Pet 24 Sep 2004 21:58
Prispevkov: 14228
Aktiv.: 64.07
Kraj: Divača (Kačiče)

PrispevekObjavljeno: Čet Avg 04, 2011 12:18 am    Naslov sporočila:  ISE 12.4 schematic -floorplan problemi Odgovori s citatom

Malo se igram (učim) tega orodja, pa sem naletel na probleme, ki jih be zbnam rešiti, zato bi prosil za pomoč.

Če v shemu narišem tri bufferje in postavim na vhode un izhode "i/O marker" , potem ob zagon floorplan dobim ustrezna imena markerjev design Browser oknu in prav tako v design Object list oknu. Tudi assin signalov na željeno nožico je mogoče.

Če pa v schematic uporabim katerikoli simbol iz skupine TTL pa v omenjenih oknih dobim imena signalov, ki ne vem kam bi jih dal. Nisem uspel ugotovii kako si jih izmisli. V glavnem so enočrkovna.

Nič bolje se mi ne godi v verziji 10.1 . Očitno nekaj ne razumem najbolje.

Kaj delam narobe ??

_________________
Dokler bodo ljudje mislili, da živali ne čutijo, bodo živali čutile, da ljudje ne mislijo.
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran
VolkD
Član
Član



Pridružen-a: Pet 24 Sep 2004 21:58
Prispevkov: 14228
Aktiv.: 64.07
Kraj: Divača (Kačiče)

PrispevekObjavljeno: Čet Avg 04, 2011 10:12 pm    Naslov sporočila:   Odgovori s citatom

V glavnem Xilinx PACE ne zna izluščit pravih podatkov iz vhodne datoteke. Če nekaj.ucf datoteko napišem peš brez uporabe tega grafičnega orodje deluje vse.
zdaj moram samo še stvar strpat v čip, da vidim če res dela.

_________________
Dokler bodo ljudje mislili, da živali ne čutijo, bodo živali čutile, da ljudje ne mislijo.
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran
S53DZ
Član
Član



Pridružen-a: Čet 28 Apr 2011 9:27
Prispevkov: 951
Aktiv.: 6.05
Kraj: Ljubljana

PrispevekObjavljeno: Pet Avg 05, 2011 12:32 am    Naslov sporočila:   Odgovori s citatom

Ne poznam orodja ampak lahko je težava z verzijami - formatom datotek (knjižnic).
Ko pa datoteko narediš s tekočo verzijo je ok.

http://www.xilinx.com/support/documentation/dt_ise12-4_librariesguides.htm
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
VolkD
Član
Član



Pridružen-a: Pet 24 Sep 2004 21:58
Prispevkov: 14228
Aktiv.: 64.07
Kraj: Divača (Kačiče)

PrispevekObjavljeno: Pet Avg 05, 2011 12:47 am    Naslov sporočila:   Odgovori s citatom

Ne, verzije so v redu.

Je pa hec, da se ta težava pojavlja v verziji ISE 10.1 in v ISE 12.4. Ostalih nisem preizkušal. Ker ne verjamem, da bi to bil bug(ali pa, vse je mogoče), sklepam, da jaz naredim nekaj narobe.

Kakorkoli ugotovil sem kako problem obiti. To pa seveda ne pomeni, da je problem rešen. Bo treba kopati dalje.

Nasledni problem, ki je, je ta, da med TTL ni 74191. Se bo treba kaj drugega spomniti.

_________________
Dokler bodo ljudje mislili, da živali ne čutijo, bodo živali čutile, da ljudje ne mislijo.
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran
Pokaži sporočila:   
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Stran 1 od 1

 
Pojdi na:  
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group