www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

Projekti z CoolRunner-II Starter Kit-om
Pojdi na stran 1, 2  Naslednja
 
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja
Poglej prejšnjo temo :: Poglej naslednjo temo  
Avtor Sporočilo
chaos
Član
Član



Pridružen-a: Sob 16 Sep 2006 22:12
Prispevkov: 1063
Aktiv.: 4.98
Kraj: Zagorje ob Savi

PrispevekObjavljeno: Čet Dec 06, 2007 3:04 pm    Naslov sporočila:  Projekti z CoolRunner-II Starter Kit-om Odgovori s citatom

Je kdo že naredil kaj pametnega s to ploščo?

Jaz imam zaenkrat samo tisti primer z utripajočimi ledicami in 7-seg displejem, malo predelan in prepisan v verilog (če koga zanima, lahko prilepim tu).

Poizkusil bom narediti en video izhod, da vidim če je coolrunner sposoben generirati RGB signal, zanima me pa tudi povezava coolrunnerja z računalnikom preko usb-ja (tistega, ki je že na plati). Sem malo gledal demote, ki so na netu, pa mi čisto jasno, a lahko usb povezavo uporabiš tudi mimo tistega priloženega programa (exPort ali kaj je že). Je kdo že naredil kaj z usb-jem? Bilo bi super, če bi lahko napisal svoj program, ki bi komuniciral preko usb-ja s coolrunnerjem ...

LP!
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
trot
Član
Član



Pridružen-a: Čet 18 Jan 2007 20:25
Prispevkov: 1270
Aktiv.: 6.07
Kraj: glej fogl

PrispevekObjavljeno: Čet Dec 06, 2007 7:46 pm    Naslov sporočila:   Odgovori s citatom

Jaz sem naredil da z gumbom prižigam ledico Dancing
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
Glitch
Član
Član



Pridružen-a: Pet 07 Apr 2006 11:40
Prispevkov: 1477
Aktiv.: 6.75

PrispevekObjavljeno: Čet Dec 06, 2007 8:36 pm    Naslov sporočila:   Odgovori s citatom

Ali je debouncan? Trening za debounce lahko naredite s kaksnim segmentnim display-em. Ali je prisel zraven?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
.
Član
Član



Pridružen-a: Pon 23 Avg 2004 16:16
Prispevkov: 16777190
Aktiv.: 75553.51

PrispevekObjavljeno: Čet Dec 06, 2007 9:02 pm    Naslov sporočila:   Odgovori s citatom

Brisana vsebina odstranjenega uporabnika.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
NeoTO
Član
Član



Pridružen-a: Pon 28 Mar 2005 19:19
Prispevkov: 2751
Aktiv.: 12.39
Kraj: Trzic

PrispevekObjavljeno: Čet Dec 06, 2007 9:03 pm    Naslov sporočila:   Odgovori s citatom

Jaz sem naredil števec na segmentnem displeju. Ustavilo se je pri gumbu...
Kako hudiča lahko spreminjaš eno spremenljivko (stanje) v dveh različnih procesih?

_________________
Lp,
Matevž
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo MSN Messenger - naslov
chaos
Član
Član



Pridružen-a: Sob 16 Sep 2006 22:12
Prispevkov: 1063
Aktiv.: 4.98
Kraj: Zagorje ob Savi

PrispevekObjavljeno: Čet Dec 06, 2007 9:19 pm    Naslov sporočila:   Odgovori s citatom

NeoTO je napisal/a:
Jaz sem naredil števec na segmentnem displeju. Ustavilo se je pri gumbu...
Kako hudiča lahko spreminjaš eno spremenljivko (stanje) v dveh različnih procesih?


Kolikor je meni znano, ne moreš ... saj mi tudi tako, čisto elektronsko to ne deluje prav ...
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Glitch
Član
Član



Pridružen-a: Pet 07 Apr 2006 11:40
Prispevkov: 1477
Aktiv.: 6.75

PrispevekObjavljeno: Čet Dec 06, 2007 9:20 pm    Naslov sporočila:   Odgovori s citatom

NeoTO, malce se razlozi kaj hoces povedat.

Mare, lahko. Glede vodila... praksa je taka, da moras poskrbet za sinhronizacijo. Drzat se moras timing pravil, asinhrone signale (CPLD vidi vodilo kot asinhrone signale), moras vzorcit. Podobno kot prej omenjeni debounce tipke.
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
Sokrat
Član
Član



Pridružen-a: Čet 25 Avg 2005 11:00
Prispevkov: 5584
Aktiv.: 25.15

PrispevekObjavljeno: Čet Dec 06, 2007 9:24 pm    Naslov sporočila:   Odgovori s citatom

Mare:

RAM (in druge komponente na vodilu) so ponavadi asinhrone. Ce so sinhrone, potem je vmes se nekaj, kar skrbi za prenose (bridge) ali pa uporabljajo skupno uro.

Frekvenco izberes tako, da lahko zadostis casovnim zahtevam kriticne komponente (v tem primeru MCUja). CPLD je v tvojem primeru bistveno hitrejsi in lahko brez tezav "lovi" MCU, cetudi sam deluje sinhrono - na ven je (ob dovolj visoki frekvenci delovanja) to tako ali tako videti samo kot razlicno dolg odziv znotraj dolocenih meja, ki jih seveda prej preracunas, da ne pride do prehitevanja ("race condition"). To je sicer potrata zmogljivosti, a v tem primeru si to lahko privoscis. V datasheetu si oglej timing diagrame za branje/pisanje na pomnilniskem vodilu in poskrbi, da bo zahtevam zadosceno.

Ob izbiri frekvence ne pozabi, da [razen ce imas isti vir ure] dva urna signala praviloma ne bosta nikoli povsem skladna v fazi in frekvenci - vsak bo sel malo po svoje, zato se ne zanasati na izbiro frekvence oscilatorjev kot sredstvo za sinhronizacijo.

_________________
Ka ti bo pa torba ce si kupu kolo ?
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
chaos
Član
Član



Pridružen-a: Sob 16 Sep 2006 22:12
Prispevkov: 1063
Aktiv.: 4.98
Kraj: Zagorje ob Savi

PrispevekObjavljeno: Čet Dec 06, 2007 9:33 pm    Naslov sporočila:   Odgovori s citatom

MarE69 je napisal/a:

Imam pa eno vprašanje: mikrokontroler dela na eksternem spominskem vodilu z neko hitrostjo, ki je določena z internim taktom na AHB (ARM7), ki ni dostopen na padih. CR dela s svojim internim taktom. Kakšna je praksa za določanje takta CPLD v takih primerih. Jaz sem izbral 50Mhz za CPLD in 25MHz za ARM, oz. f(CPLD) = 2*f(ARM7Core).

Pa še drugo vprašanje: ali lahko delauje del CPLD kot kombinatorna logika / asinhrono (recimo za adresno dekodiranje), drug del pa sinhrono?


Za prvo bi rekel, da ni važno, če čipa ne uporabljata istega izvora clocka -- ne bo delalo sinhrono. V takem primeru je pa verjetno pametno, da cpld uporablja vsaj dvakrat večjo frekvenco, da za ziher posempla signale iz arma. Za večjo zanesljivost bo pa treba uporabiti kakšne flag signale, da si čipa sporočata, kdaj so podatki oddani / sprejeti ...

Za drugo vprašanje: lahko je v čipu več delov sinhronih, tudi na različnih clockih, drugi deli pa delajo asinhrono.


Jaz grem pa zdaj lotat vga konektor za xboard, upam da se bo še danes kaj pokazalo na monitorju Smile

LP!
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
NeoTO
Član
Član



Pridružen-a: Pon 28 Mar 2005 19:19
Prispevkov: 2751
Aktiv.: 12.39
Kraj: Trzic

PrispevekObjavljeno: Čet Dec 06, 2007 9:37 pm    Naslov sporočila:   Odgovori s citatom

Citiram:
NeoTO, malce se razlozi kaj hoces povedat.

Mare, lahko. Glede vodila... praksa je taka, da moras poskrbet za sinhronizacijo. Drzat se moras timing pravil, asinhrone signale (CPLD vidi vodilo kot asinhrone signale), moras vzorcit. Podobno kot prej omenjeni debounce tipke.



Napaka: Sequential logic for node <ClkStevec> appears to be controlled by multiple clocks.

Drugače pa sem priložil vhdl kodo spodaj.



test1.zip
 Opis:

Download
 Ime datoteke:  test1.zip
 Velikost datoteke:  826 Bytov
 Downloadano:  41 krat

Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo MSN Messenger - naslov
Glitch
Član
Član



Pridružen-a: Pet 07 Apr 2006 11:40
Prispevkov: 1477
Aktiv.: 6.75

PrispevekObjavljeno: Čet Dec 06, 2007 9:54 pm    Naslov sporočila:   Odgovori s citatom

Tole z VHDLom ti bo nekdo drug pomagal, ker sem ze precej out. Ampak kolikor se spomnim, sem clock evente drugace handlal.
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
chaos
Član
Član



Pridružen-a: Sob 16 Sep 2006 22:12
Prispevkov: 1063
Aktiv.: 4.98
Kraj: Zagorje ob Savi

PrispevekObjavljeno: Pet Dec 07, 2007 2:27 am    Naslov sporočila:   Odgovori s citatom

NeoTO je napisal/a:

Napaka: Sequential logic for node <ClkStevec> appears to be controlled by multiple clocks.


Sicer nisem ravno preveč dober v vhdl-ju, sem pa pogledal tvoj primer.

Rekel bi, da prevajalnik misli, da hočeš kak flop voziti z večimi urami. Mogoče misli, da je ButtonIn1 ura, ali pa dvakrat 'vidi' clockIn.

Ja verjetno bo to zadnje ... ko sem še uporabljal vhdl, sem pogoje z uro pisal takole:

Koda:

IF clk='1' AND clk'event THEN
...


Poizkusi tako, namesto vgnezdenih if stavkov ...
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
chaos
Član
Član



Pridružen-a: Sob 16 Sep 2006 22:12
Prispevkov: 1063
Aktiv.: 4.98
Kraj: Zagorje ob Savi

PrispevekObjavljeno: Pet Dec 07, 2007 2:33 am    Naslov sporočila:   Odgovori s citatom

Success!

Mi že prikaže statično sliko! Potem ko sem zlotal vmesnik, sem se že ustrašil, da ne bo šlo, ker ima cpld malo počasne clocke ... ko sem pa pogledal priročnik, sem pa videl, da ima na enem drugem pinu 48Mhz clock, kar je več kot dovolj ...

Tako ... zdej je pa že čas da grem spat Smile



coolrunner_vga_out.jpg
 Opis:
coolrunner vga izhod
 Velikost datoteke:  177.29 KB
 Pogledana:  21 krat

coolrunner_vga_out.jpg


Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
chaos
Član
Član



Pridružen-a: Sob 16 Sep 2006 22:12
Prispevkov: 1063
Aktiv.: 4.98
Kraj: Zagorje ob Savi

PrispevekObjavljeno: Tor Jan 22, 2008 12:47 pm    Naslov sporočila:   Odgovori s citatom

To sem čakal!

Digilent je objavil (ali sem ga pa jaz prej spregledal) SDK za ustvarjanje lastnih programov za komunikacijo računalnika in Coolrunner Starter kita preko USB.

Na žalost samo v obliki knjižnice, na žalost samo za MS Visual Studio.

Že pišem program, board mi že prepozna ...

Še link:
http://www.digilentinc.com/Software/Adept.cfm?Nav1=Software&Nav2=Adept

LP!
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
simek
Neznanec
Neznanec



Pridružen-a: Pon 26 Apr 2010 16:07
Prispevkov: 1
Aktiv.: 0.01

PrispevekObjavljeno: Pon Apr 26, 2010 4:15 pm    Naslov sporočila:   Odgovori s citatom

Lotil sem se delati generator Morsejeve abecede. Za download datoteke zgoraj moram biti akitven uporabnik. Ko bom naredil celoten projekt ga lahko objavim, zbiram pa tudi vaša znanja.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
Pokaži sporočila:   
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Pojdi na stran 1, 2  Naslednja
Stran 1 od 2

 
Pojdi na:  
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group