 |
www.elektronik.si Forum o elektrotehniki in računalništvu
|
Poglej prejšnjo temo :: Poglej naslednjo temo |
Avtor |
Sporočilo |
trot Član


Pridružen-a: Čet 18 Jan 2007 20:25 Prispevkov: 1282 Aktiv.: 5.72 Kraj: glej fogl
|
Objavljeno: Sre Mar 12, 2008 10:42 pm Naslov sporočila: vhdl - pwm |
|
|
Gledam kodo za pwm modul (iz fpga4fun):
Koda: |
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity PWM is
port (
clk : in std_logic;
PWM_in : in std_logic_vector (7 downto 0) := "00000000";
PWM_out : out std_logic
);
end PWM;
architecture PWM_arch of PWM is
signal PWM_Accumulator : std_logic_vector(8 downto 0);
begin
process(clk, PWM_in)
begin
if rising_edge(clk) then
PWM_Accumulator <= ("0" & PWM_Accumulator(7 downto 0)) + ("0" & PWM_in);
end if;
end process;
PWM_out <= PWM_Accumulator(8);
end PWM_arch;
|
Ni mi jasno kako sploh deluje. Če prav razumem
Koda: |
PWM_Accumulator <= ("0" & PWM_Accumulator(7 downto 0)) + ("0" & PWM_in);
|
naredi, da PWM_Accumulator(8-) bit menja vrednost glede na PWM_in? Kako deluje ta koda? |
|
Nazaj na vrh |
|
 |
aly Član



Pridružen-a: Tor 28 Sep 2004 14:51 Prispevkov: 9407 Aktiv.: 39.71 Kraj: Kranj - struževo
|
Objavljeno: Čet Mar 13, 2008 12:42 pm Naslov sporočila: |
|
|
Vhodna spremenljivka je 8-bitna, števec pa je 9-bitni.
Števcu prišteva vrednost spremenljivke in gleda overflow (9. bit).
Ampak tale koda se mi ne zdi ravno v redu, ker se glede na vhodno spremenljivko se spremina tudi frekvenca PWM signala, ne samo duty-cycle.
Bi moral simulirati, da bi videl dejansko delovanje...
Če si bil na delavnici, potem uporabi mojo kodo za PWM generator. Tisto sem tudi poskusil v detajle razložiti.... _________________ I'm going to stand outside, so if anyone asks, I'm outstanding  |
|
Nazaj na vrh |
|
 |
|
|
Ne, ne moreš dodajati novih tem v tem forumu Ne, ne moreš odgovarjati na teme v tem forumu Ne, ne moreš urejati svojih prispevkov v tem forumu Ne, ne moreš brisati svojih prispevkov v tem forumu Ne ne moreš glasovati v anketi v tem forumu Ne, ne moreš pripeti datotek v tem forumu Ne, ne moreš povleči datotek v tem forumu
|
Uptime: 493 dni
Powered by phpBB © 2001, 2005 phpBB Group
|