 |
www.elektronik.si Forum o elektrotehniki in računalništvu
|
Poglej prejšnjo temo :: Poglej naslednjo temo |
Avtor |
Sporočilo |
.:alex:. Član

Pridružen-a: Sre 05 Mar 2008 11:51 Prispevkov: 24 Aktiv.: 0.11 Kraj: Domžale-Kamnik
|
Objavljeno: Sre Mar 05, 2008 12:28 pm Naslov sporočila: VHDL generator ure |
|
|
Živjo imam en problem pri programiranju v VHDL in sicer nimam ideje oz. ne znam, narediti da je vhodna ura x(k,M)Hz potem pa da bi bral vhodnjo spremenljivko z 100hz!Prosim pomagajte !
lp |
|
Nazaj na vrh |
|
 |
Benjamin Član

Pridružen-a: Tor 10 Jul 2007 11:23 Prispevkov: 116 Aktiv.: 0.53 Kraj: Trebnje, Ljubljana
|
Objavljeno: Sre Mar 05, 2008 8:21 pm Naslov sporočila: |
|
|
Živjo!
Deliš vhodno uro z določeno konstanto. Se pravi ob vsaki fronti vhodne ure, prišteješ 1 nekemu signalu, ko ta signal doseže določeno vrednost, pošlješ impulz na izhod. Ta izhod pa nato uporabiš v naslednjih modulih.
Poglej si naslednji primer:
Koda: |
entity clk_divider is
Port ( clk : in STD_LOGIC;
clk_div : out STD_LOGIC);
end clk_divider;
architecture arch_clk_div of clk_divider is
signal counter : STD_LOGIC_VECTOR(8 downto 0) := "000000000";
begin
divider : process(clk)
begin
if rising_edge(clk) then
if counter = 500 then
counter <= "000000000";
else
counter <= counter + 1;
end if;
end if;
end process;
clk_div <= '1' when counter >= 250 else '0';
end arch_clk_div; |
LP |
|
Nazaj na vrh |
|
 |
|
|
Ne, ne moreš dodajati novih tem v tem forumu Ne, ne moreš odgovarjati na teme v tem forumu Ne, ne moreš urejati svojih prispevkov v tem forumu Ne, ne moreš brisati svojih prispevkov v tem forumu Ne ne moreš glasovati v anketi v tem forumu Ne, ne moreš pripeti datotek v tem forumu Ne, ne moreš povleči datotek v tem forumu
|
Uptime: 493 dni
Powered by phpBB © 2001, 2005 phpBB Group
|