www.elektronik.si Seznam forumov www.elektronik.si
Forum o elektrotehniki in računalništvu
 
 PomočPomoč  IščiIšči  Seznam članovSeznam članov  SkupineSkupine  StatisticsStatistika  AlbumAlbum  DatotekeFilemanager DokumentacijaDocDB LinksPovezave   Registriraj seRegistriraj se 
  PravilaPravila  LinksBolha  PriponkePriponke  KoledarKoledar  ZapiskiZapiski Tvoj profilTvoj profil Prijava za pregled zasebnih sporočilPrijava za pregled zasebnih sporočil PrijavaPrijava 

FPGA začetnik
Pojdi na stran 1, 2, 3, 4, 5  Naslednja
 
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja
Poglej prejšnjo temo :: Poglej naslednjo temo  
Avtor Sporočilo
seba001
Član
Član



Pridružen-a: Pon 22 Maj 2006 14:04
Prispevkov: 19
Aktiv.: 0.09

PrispevekObjavljeno: Tor Dec 05, 2006 9:09 am    Naslov sporočila:  FPGA začetnik Odgovori s citatom

Pozdravljeni.
Sem začetnik na področju programiranja FPGAjev.
Zanima me, kaj mi priporočate, kako-kje začeti?
Programiral bi v Verilogu.
Kako bi prišel do programske opreme, kaj sploh potrebujem?

lp
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
.
Član
Član



Pridružen-a: Ned 19 Sep 2004 22:04
Prispevkov: 16777193
Aktiv.: 75553.96

PrispevekObjavljeno: Tor Dec 05, 2006 9:11 am    Naslov sporočila:   Odgovori s citatom

Brisana vsebina odstranjenega uporabnika.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
gaborp
Član
Član



Pridružen-a: Sob 16 Jul 2005 9:54
Prispevkov: 63
Aktiv.: 0.28
Kraj: Škofja Loka / Tržič

PrispevekObjavljeno: Tor Dec 05, 2006 9:57 am    Naslov sporočila:   Odgovori s citatom

Na področju FPGA-jev sem pravtako začetnik, tako da ti kaj preveč nebom mogel pomagati. Pred kakim mesecem sem začel s prvimi koraki v Xilinx-ovem ISE WebPACK-u, vandar je kmalu začelo primanjkovati časa.

Xilinx-ova orodja dobiš tukaj, a se boš najprej moral registrirati. Prvo kar rabiš je ISE WebPACK™, potem pa še service pack in update.
Tukaj pa se nahajaja razna dokumentacija.

Lahko pa se odločiš tudi za Altium Designer.

Jaz trenutno poznam ti dve orodji, sem pa prepričan da jih obstaja še ogromno. O tem katero in zakaj je bolj uporabno pa upam da bo povedal kdo, ki se malo bolje spozna.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
VolkD
Član
Član



Pridružen-a: Pet 24 Sep 2004 21:58
Prispevkov: 14228
Aktiv.: 64.07
Kraj: Divača (Kačiče)

PrispevekObjavljeno: Tor Dec 05, 2006 12:11 pm    Naslov sporočila:   Odgovori s citatom

ISE WebPACK, bo čiato v redu.

Zakaj pa si se odločil za verilog ? No saj je vseeno, le da se meni zdi VHDL nekoliko preglednejši.
ISE WebPACK tako in tako podpira obadava.

_________________
Dokler bodo ljudje mislili, da živali ne čutijo, bodo živali čutile, da ljudje ne mislijo.
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran
aly
Član
Član



Pridružen-a: Tor 28 Sep 2004 14:51
Prispevkov: 9406
Aktiv.: 42.36
Kraj: Kranj - struževo

PrispevekObjavljeno: Tor Dec 05, 2006 12:27 pm    Naslov sporočila:   Odgovori s citatom

Jaz uporabljam ISE Webpack in FPGA-je od Xilinxa.
Sem zadovoljen. Sicer programiram v VHDL-u.

Za začetek ti priporočam, da kupiš / sestaviš eno demo ploščo, po možnosti s FPGAjem ki ima 5V-tolerant pine, da nimaš problemov s priključevanjem ostalega hardvera. Večina FPGAjev dela na 3.3V in manj.

Malo za občutek si lahko pogledaš mojo spletno stran pod elektro oddelkom - imam objavljena 2 projekta na FPGAjih.

Če bo vse po sreči in bo ARM seminar uspel, bomo nadaljevali s FPGA seminarjem. Enkrat v začetku naslednjega leta. Spremljaj forum Wink

Sicer pa obstaja več spletnih strani, kjer lahko dobiš ali kupiš lego kocke, ena od njih je OpenCores. (domača - v sloveniji bazirana zadeva!)

LP

_________________
I'm going to stand outside, so if anyone asks, I'm outstanding Smile
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran MSN Messenger - naslov
Glitch
Član
Član



Pridružen-a: Pet 07 Apr 2006 11:40
Prispevkov: 1477
Aktiv.: 6.75

PrispevekObjavljeno: Tor Dec 05, 2006 12:47 pm    Naslov sporočila:   Odgovori s citatom

VolkD je napisal/a:

Zakaj pa si se odločil za verilog ? No saj je vseeno, le da se meni zdi VHDL nekoliko preglednejši.
ISE WebPACK tako in tako podpira obadava.


Zakaj si se odlocil za VHDL? Meni se zdi verilog veliko preglednejsi. Smile Da ne bomo sprozili kaksen flamewar.

Seba:
Priporocam ti, Xilinx FPGAje. Zakaj? Predvsem zaradi dobavljivosti. Kupis jih lahko v IC npr. Spartan2, ker starejse najnovejsi Webpack ne podira. Tudi programator je enostaven. Orodje je brezplacno in zmogljivo.

Verilog, VHDL... who cares, dokler ne naletis na neke zelo specificne tezave npr. pri simulacijah.
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
aly
Član
Član



Pridružen-a: Tor 28 Sep 2004 14:51
Prispevkov: 9406
Aktiv.: 42.36
Kraj: Kranj - struževo

PrispevekObjavljeno: Tor Dec 05, 2006 2:13 pm    Naslov sporočila:   Odgovori s citatom

Glitch je napisal/a:
Verilog, VHDL... who cares, dokler ne naletis na neke zelo specificne tezave npr. pri simulacijah.

Chip-dizajnerji, ki delajo pretvorbo VHDL ali Verilog >> maske za ASIC, imajo menda raje Verilog. Za druge omejitve ne vem.

LP

_________________
I'm going to stand outside, so if anyone asks, I'm outstanding Smile
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran MSN Messenger - naslov
BorutS
Član
Član



Pridružen-a: Pet 09 Jun 2006 16:25
Prispevkov: 107
Aktiv.: 0.49
Kraj: Cerklje na Gorenjskem

PrispevekObjavljeno: Tor Dec 05, 2006 3:44 pm    Naslov sporočila:   Odgovori s citatom

Tudi jaz ti priporočam xilinx spertan2 ali spartan3 in ISE WebPACK™. V verziji 8.1 in naprej imaš že vgrajen tudi core generator in simulator, tako da imaš kompletno orodje. Priporočam ti tudi platform flash xcf01s oziroma nekaj iz te serije za konfiguracijo, ker ga lahko programiraš preko jtaga in cena je dokaj znosna.
Glede vhdl in veriloga pa je vseeno. Znotraj enega projekta lahko uporabljaš oboje in še shematic, State Diagram,Coregen....

Jaz uporabljam VHDL, ker sem se ga najprej naučil na faksu (FE-LJ kjer ga uporabljajo na vajah).

Za start je dobro, da si kupiš kakšen 'starter kit' (vprašaj silica ali IC, mislim da je za spartan 3 okrog 100eur).

Za začetek učenja VHDL je zelo uporabna tale stran oziroma Dodatno gradivo na
tej strani, ker je v slovenščini.
LP
Borut
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
commander29
Član
Član



Pridružen-a: Pon 20 Nov 2006 15:24
Prispevkov: 47
Aktiv.: 0.22

PrispevekObjavljeno: Sre Dec 06, 2006 2:22 pm    Naslov sporočila:   Odgovori s citatom

Doma imam Spartan-3 Starter kit. Uporabljam pa Xilinx Web Pack 7.1i service pack 4.

Sedaj sem napisal kratek program, ki šteje impulze clock-a in ob prehodu iz '11111111' na '00000000' prižge ali ugasne eno od led na kit-u.

Program se lepo synthesize-a vpišem potrebne pine za izhodne in vhodne porte, generira Programming File Generation.

Sedaj sem se pa zaletel v zid. Poiskušam program prenesti na plato, pa mi iMPACT program pravi:
Citiram:
DONE pin did not go low. Please check cable connection.
Programming terminated due to error.


Kabel je vredu in LPT port tudi pravi.

Citiram:
// *** BATCH CMD : setCable -port lpt3
Connecting to cable (Parallel Port - LPT3).
Checking cable driver.
Driver windrvr6.sys version = 6.2.2.2. LPT base address = BC00h.
ECP base address = B800h.
Cable connection established.


Na tem mestu bi rabil pomoč, kaj bi lahko bilo narobe.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
aly
Član
Član



Pridružen-a: Tor 28 Sep 2004 14:51
Prispevkov: 9406
Aktiv.: 42.36
Kraj: Kranj - struževo

PrispevekObjavljeno: Sre Dec 06, 2006 4:25 pm    Naslov sporočila:   Odgovori s citatom

Done pin je ponavadi največja težava.. Te plate, ki imajo že PROM za konfiguracijo, lahko programiraš na dva načina:
- PC>JTAG>FPGA
ali
- PC>JTAG>PROM>powerdown>powerup (tukaj se vsebina proma s pomočjo CCLK prenese v FPGA)

Po končanem pretakanju konfiguracije skoči FPGA v Startup sekvenco.
Ko generiraš .BIT fajl, v nastavitvah določiš, kateri clock poganja startup sekvenco - ali JTAG_clk ali CCLK). Ko je startup sekvenca izvršena, gre ~Done pin v HIGH stanje in sporočilo se ponavadi glasi Error: Done did not go High!

Če tebi napiše Done did not go Low, potem očitno niti ne začne programirati... Preverjaj še Done LED na plati in jumperje za konfiguracijo.
Tudi z jumperji namreč določiš od kod se bo "bootal" FPGA.

_________________
I'm going to stand outside, so if anyone asks, I'm outstanding Smile
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo Obišči avtorjevo spletno stran MSN Messenger - naslov
fogl
Član
Član



Pridružen-a: Sob 11 Sep 2004 22:37
Prispevkov: 80
Aktiv.: 0.36
Kraj: Radovljica

PrispevekObjavljeno: Sre Dec 06, 2006 11:35 pm    Naslov sporočila:   Odgovori s citatom

Tile fpga-ji so res dragi. Kaj pa je tako posebnega na njih, da je cena v primerjavi z npr. arm-ji toliko višja? Za kakšne aplikacije pa se fpga-ji najpogosteje uporabljajo?
_________________
lp, klemen
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
BorutS
Član
Član



Pridružen-a: Pet 09 Jun 2006 16:25
Prispevkov: 107
Aktiv.: 0.49
Kraj: Cerklje na Gorenjskem

PrispevekObjavljeno: Čet Dec 07, 2006 9:55 am    Naslov sporočila:   Odgovori s citatom

fogl je napisal/a:
Tile fpga-ji so res dragi. Kaj pa je tako posebnega na najih, da je
cena v primerjavi z npr. arm-ji toliko višja?

fogl s tem da so fpga-ji dragi, se težko strinjam. Če pogledaš njihovo uporabnost in njihovo zgradbo, lahko ugotoviš da so zelo poceni v primerjavi z mikrokontrolerji. Še nedolgo tega je bil bistveno dražji eeprom (xc17lvxx) za konfiguracijo fpga, kot pa sam fpga (govorim za majhne serije in manjše fpga npr. xc3s50 in podobni), danes je to drugače, ker se uporablja flash.

fogl je napisal/a:
Za kakšne aplikacije pa se fpga-ji najpogosteje uporabljajo?


fpga-ji se lahko uporabljajo za karkoli, kjer cena to dovoljuje. Uporabljajo se predvsem tam kjer je potrebna hitra logika, hitro obdelovanje podatkov, različni logični standardi, testiranje digitalnih vezij...
Mislim da primerjava fpga in mikrokontrolerja skoraj ni mogoča. Pri mikroprocesorjih gre za izvajanje ukazov (programa). Pri fpga-jih gre za sintezo digitalnega vezja in ni programa, ki se bi sekvenčno izvajal. Mogoče nastane nekaj zmešnjave, ker za oboje pravimo da se napiše "program" običajno v tekstovni obliki, vendar pri fpga-jih to povsem ne drži. Napiše se opis oziroma funkcija vezja (logična vrata flipflop, avtomati stanj...), ker bi bila shema vezja preveč komplicirana in ne bi bila pregledna. Iz tega pa je mogoče narediti skoraj kar koli. Poglej primere na http://www.opencores.org/, kjer je implementiranih kar nekaj stvari, med drugim tudi pic mikrokontroler, ki deluje celo hitreje kot od mikrochip-ovega.
LP
Borut
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
jur
Član
Član



Pridružen-a: Pet 02 Dec 2005 14:45
Prispevkov: 5142
Aktiv.: 23.16
Kraj: [color=zelena]Ljubljana[/color]

PrispevekObjavljeno: Čet Dec 07, 2006 1:03 pm    Naslov sporočila:   Odgovori s citatom

FPGA ni namenjen za krmiljenje pralnega stroja ali nekaj LED diod in stepper motorja, ampak za kaj več. Normalno tudi človek ne gre v trgovino po kruh in mleko z tovornjakom. Zaradi tega je FPGA dražji.

Jur
Nazaj na vrh
Skrit Poglej uporabnikov profil Pošlji zasebno sporočilo
fogl
Član
Član



Pridružen-a: Sob 11 Sep 2004 22:37
Prispevkov: 80
Aktiv.: 0.36
Kraj: Radovljica

PrispevekObjavljeno: Sob Dec 09, 2006 12:03 am    Naslov sporočila:   Odgovori s citatom

Kje pa bi lahko našel kakega fpga-ja...za odlotat?
_________________
lp, klemen
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo
NUFAN
Član
Član



Pridružen-a: Pon 05 Apr 2004 21:39
Prispevkov: 947
Aktiv.: 4.26
Kraj: Žalec

PrispevekObjavljeno: Sob Dec 09, 2006 12:26 am    Naslov sporočila:   Odgovori s citatom

fogl je napisal/a:
Kje pa bi lahko našel kakega fpga-ja...za odlotat?


V kakšnem mobitelu, ampak ne starem.
Nazaj na vrh
Odsoten Poglej uporabnikov profil Pošlji zasebno sporočilo Pošlji E-sporočilo
Pokaži sporočila:   
Objavi novo temo   Odgovori na to temo   Printer-friendly version    www.elektronik.si Seznam forumov -> FPGA in CPLD programabilna vezja Časovni pas GMT + 2 uri, srednjeevropski - poletni čas
Pojdi na stran 1, 2, 3, 4, 5  Naslednja
Stran 1 od 5

 
Pojdi na:  
Ne, ne moreš dodajati novih tem v tem forumu
Ne, ne moreš odgovarjati na teme v tem forumu
Ne, ne moreš urejati svojih prispevkov v tem forumu
Ne, ne moreš brisati svojih prispevkov v tem forumu
Ne ne moreš glasovati v anketi v tem forumu
Ne, ne moreš pripeti datotek v tem forumu
Ne, ne moreš povleči datotek v tem forumu

Uptime: 48 dni


Powered by phpBB © 2001, 2005 phpBB Group